Publications
Google Scholar

Selected Journal Publications

Authors Title Journal Date
J. Li, Z. Yuan, Z. Li, A. Ren, C. Ding, J. Draper, S. Nazarian, Q. Qiu, B. Yuan, Y. Wang
Normalization and Dropout for Stochastic Computing-Based Deep Convolutional Neural Networks INTEGRATION, the VLSI journal 2018
J. Li, X. Lin, S. Nazarian, M. Pedram
CTS2M: concurrent task scheduling and storage management for residential energy consumers under dynamic energy pricing IET Cyber-Physical Systems: Theory & Applications 2017
W. Lee, Y. Wang, T. Cui, S. Nazarian, and M. Pedram
TEI-power: Temperature Effect Inversion Aware Dynamic Thermal Management for FinFET Circuits ACM Transactions on Design Automation of Electronic Systems 2017
Y. Kun, J. Li, S. Nazarian, P. Bogdan
Fundamental Challenges Towards Making IoT a Reality ACM Transactions on Design Automation of Electronic Systems 2017
T. Cui, Y. Wang, S. Nazarian, and M. Pedram
A Learning-Based Profit Maximization Algorithm for Utility Companies in an Oligopolistic Energy Market with Dynamic Prices and Intelligent Users AIMS Energy 2016
S. Nazarian, D. Das
An Efficient Current-Based Logic Cell Model for Crosstalk Delay Analysis International Journal of Electronics, pp. 439-467, Volume 100, Issue 4 2013
S. Nazarian, H. Fatemi, M. Pedram
Accurate Timing and Noise Analysis of Combinational and Sequential Logic Cells Using Current Source Modeling IEEE Transactions on Very Large Scale Integration Systems (TVLSI), pp. 92-103, Volume 19, Issue 1 2011
S. Nazarian, M. Pedram
Crosstalk-Affected Propagation Delay in Nanometer Technologies International Journal of Electronics, pp. 903-937, Volume 95, Issue 9 2008
M. Pedram, S. Nazarian
Thermal modeling, analysis, and management in VLSI circuits: Principles and Methods Proc. of IEEE Special Issue on Thermal Analysis of ULSI, pp. 1487-1501 2006
M. Pedram, S. Nazarian
Thermal modeling, analysis, and management in VLSI circuits: Principles and Methods Proc. of IEEE Special Issue on Thermal Analysis of ULSI, pp. 1487-1501 2006

Selected Conference and Patent Publications

Authors Title Conference Date
R. Mehta, Y. Huang, M. Cheng, S. Bagga, N. Mathur, J. Li, J. Draper, S. Nazarian
High Performance orks Using Pipelined Hardware Acceleration and Distributed Memory International Symposium on Quality Electronic Design (ISQED) 2018
Y. Xiao, S. Nazarian, P. Bogdan
Prometerus: Processing-in-memory Heterogeneous Architecture Design From a Multi-layer Network Theoretic Strategy Design Automation and Test in Europe (DATE) 2018
H. Yang, F. Kang, C. Ding, J. Li, J. Kim, D. Baek, S. Nazarian, X. Lin, P. Bogdan, N. Chang
Prediction-Based Fast Thermoelectric Generator Reconfiguration for Energy Harvesting from Vehicle Radiators Design Automation and Test in Europe (DATE) 2018
Mingxi Cheng, Ji Li, and Shahin Nazarian
DRL-Cloud: Deep Reinforcement Learning-Based Resource Provisioning and Task Scheduling for Cloud Service Providers Asia and South Pacific Design Automation Conference (ASP-DAC) 2018
Y. Xiao, Y. Xue, S. Nazarian, P. Bogdan
A Load Balancing Inspired Parallel Execution Optimization Framework for Many-core Systems ICCAD 2017
M. Nazemi, S. Nazarian, M. Pedram
A Fast FPGA Implementation for Adaptive Independent Component Analysis IEEE International Conference on Application-specific Systems, Architectures and Processors 2017
H. Cheng, J. Li, J. Draper, S. Nazarian, and Y. Wang
Deadline-Aware Joint Optimization of Sleep Transistor and Supply Voltage for FinFET Based Embedded Systems ACM Great Lakes Symp. on VLSI 2017
S. Nazar-shahsavani, A. Shafaei Bejestan, S. Nazarian, and M. Pedram
A Thermally-Aware Energy Minimization Methodology for Global Interconnects Design Automation and Test in Europe (DATE) 2017
J. Li, S. Nazarian
Fast and Energy-Aware Resource Provisioning and Task Scheduling Algorithm for Cloud Systems Int’l Symp. on Quality Electronic Design 2017
L. Wang, T. Cui, S. Nazarian, Y. Wang, and M. Pedram
Standard Cell Library Based Layout Characterization and Power Analysis for 10nm Gate-All-Around (GAA) Transistors IEEE Int’l System-on-Chip Conference (SOCC) 2016
T. Cui, J. Li, A. Shafaei Bejestan, S. Nazarian, and M. Pedram
An Efficient Timing Analysis Model for 6T FinFET SRAM using Current-Based Method Int’l Symp. on Quality Electronic Design 2016